Revenue Growth in China's Semiconductor Industry Would Slow Down to 16.2% in <span style='color:red'>2019</span> due to Pessimistic
  According to the latest report by TrendForce - Breakdown Analysis of China’s Semiconductor Industry, the outlook for China’s semiconductor industry has been tampered since the second half of 2018 due to pessimistic economy worldwide and uncertainties brought by the China-U.S. trade war, although the industry managed to reach a total revenue of over 600 billion RMB in 2018. Looking ahead to 2019, the revenue of China's semiconductor industry is expected to reach RMB 729.8 billion, but the annual growth rate would slow down to 16.20%, the lowest in the past five years.  According to Jeter Teo, Research Director at TrendForce, there would be several challenges for China's semiconductor industry in the coming year, including the global economic slowdown, the overall weak demand, the negative growth in global smartphone production volume, as well as the continued trade conflicts between China and the United States.  However, as the Chinese government continues to seek self-sufficiency of chips and aims at reducing its dependence on foreign companies, the domestic semiconductor industry will keep growing. On the other hand, new applications will trigger increasing demand for semiconductors, driven by emerging technologies like AI, 5G, autonomous driving, electric vehicles, CIS, biometric recognition, Internet of Things, and edge computing.  It is worth noting that, recent years have seen the rise of China's domestic IC design industry, which has played a key role in leading the development of China's semiconductor industry. The industrial structure has continued to be optimized as well. For 2019, the sector of IC design is expected to account for 40.62% of China's semiconductor industry, while IC manufacturing would account for 28.68%, IC testing and packaging would take about 30.7%.  On the other hand, TrendForce’s data show that key sectors of the semiconductor industry are expected to register continued growth in revenue, with the growth rate of IC manufacturing reaching 18.58%, higher than 17.86% of IC design and 12% of IC testing and packaging. More than 10 new fabs for 12-inch wafer will enter production in China in 2019, while some 8-inch wafer fabs and the power semiconductor sector anticipate production expansion.
Key word:
Release time:2019-01-25 00:00 reading:4327 Continue reading>>
Impacts on Global PV Industry Were Not As Severe As Anticipated in 2018; PV Demand to Reach a New High in <span style='color:red'>2019</span>
Key word:
Release time:2019-01-17 00:00 reading:1215 Continue reading>>
NAND Flash Manufacturers to Cut Capex by 2% YoY in <span style='color:red'>2019</span> Due to Worsening Oversupply
Experiencing an oversupply over the entire year of 2018, the global NAND Flash market continues to face excess capacity this year as the demand outlook for notebooks, smartphones, servers and other end products remains weak, reports DRAMeXchange, a division of TrendForce. NAND Flash manufacturers have slowed down the capacity expansion by cutting capex in 2019, aiming to moderate the oversupply by limiting the bit output growth.South Korean manufacturers have taken initiatives to cut their NAND Flash capex due to the worsening oversupply in 2018, says DRAMeXchange. Even though the total capex in the whole NAND Flash industry has been cut by nearly 10%, the oversupply has continued. Looking ahead to 2019, U.S.-based manufacturers would also lower their semiconductor capex, and the total capex in the global NAND Flash industry is expected to be $22 billion, about 2% YoY lower than in 2018.Influenced by the adjustments in capacity expansion, 92/96-layer 3D NAND products would only account for about 32% of the industry’s total output by the end of 2019, while the portion of 64/72-layer products remains over 50%, although the major manufacturers have entered the mass production of 92/96-layer 3D NAND since the fourth quarter of 2018. As the manufacturers slow down capacity expansion and migration to advanced process, the bit output growth of NAND Flash is expected to be around 38% in 2019, significantly lower than over 45% in 2018.As for the capacity adjustments of manufacturers, DRAMeXchange notes that Samsung’s NAND Flash bit output growth is expected to be around 35%, considering the following two factors. First, Samsung would continue to reduce its production capacity for 2D NAND. Second, the operating capacity would also decrease compared with the end of 2018, since the 92-layer process requires more space in the fab. The slowdown in bit output growth would have great impacts on the global NAND Flash production, because Samsung's share in the NAND Flash market is about 30%.SK Hynix and Toshiba/Western Digital also have a chance to see smaller bit output growth. The two companies have respectively new M15 fab and Fab 6, but would also be affected by the production reduction plan or capacity transfer to previous-generation process. Therefore, DRAMeXchange has revised the forecast of their annual bit output growth to less than 50% and 35%, down from previous forecast of 50% and 40%, considering the weak demand outlook.Micron's new fab in Singapore will not officially enter mass production until 2020, so the company’s wafer capacity per month in 2019 will remain flat when compared to 4Q18. Intel plans to reach a full load capacity in its Dalian fab, but does not have other capacity expansion plan. The joint bit output of Micron and Intel would grow by nearly 40% in 2019, noticeably lower than 45% in 2018.In terms of the NAND Flash price trends for 2019, the quotes for various product lines would witness apparently steeper drop than DRAMeXchange’s previous forecasts, indicating the excess inventories faced by manufacturers. DRAMeXchange expects a quarterly decline of 20% in 1Q19, higher than previous forecast of 10%, and a further decline of nearly 15% QoQ in 2Q19. For 2H19, the price decline may be slightly moderated considering the coming of peak season, but prices would continue to fall by around 10% each quarter. It remains to be seen whether manufacturers are able to further limit their bit output growth. In sum, the average NAND Flash price would decrease by nearly a half in 2019, according to the calculation of DRAMeXchange.
Key word:
Release time:2019-01-11 00:00 reading:1197 Continue reading>>
CES <span style='color:red'>2019</span>: AMD announces new mobile processor line-up
Total Consumption of Driver IC Grew by 8.4% YoY in 2018, but Growth Would Slow Down to 3% in <span style='color:red'>2019</span>
According to the latest report by WitsView, a division of TrendForce, increasing penetration of UHD display panels has driven the consumption of driver IC in the past few years. The total consumption grew by 8.4% YoY in 2018, but the growth would slow down to 3% this year due to technology variation in designs of large-size panels and falling shipments of small-size panels.As for the breakdown of driver IC consumption by types of application, TV panels would consume around 35% of all the driver ICs, remaining the major growth momentum in 2019, says Julian Lee, the assistant research manager of WitsView. However, with growing demand for narrow-border products and wider adoption of the Gate on Array technology in new devices, growth in the consumption of driver ICs by large-size panels would be moderated this year. In the segment of small-size panel, the consumption of driver ICs would drop due to weak sales in the global smartphone market and the decrease in tablet market size. Overall speaking, growth in the driver IC market would slow down compared with past few years, before momentum appears again after 2021, when electronic devices anticipate a new wave of specs upgrade due to higher transmission speed in the maturing 5G network. By then, the next wave of replacement demand for smartphones, higher penetration of 8K TV, and the emergence of new applications like Internet of Vehicle and IoT would again trigger growth in the driver IC market.Film for Chip-on-Film packaging would see undersupply in 2019As 18:9 becomes the mainstream aspect ratio for new generation smartphones, phone makers have been working to make bezels narrower. Therefore, smartphones, such as the three new iPhones launched last year, have been switching from solutions based on Chip-on-Glass (COG) packaging to those on Chip-on-Film (COF) packaging. On the other hand, new production capacity of large-size panels in China has pushed up the shipments of TV panels, increasing the demand for films used in COF packaging as well. However, in the past few years, makers of the film for COF packaging have not invested new capacity due to weak profitability, so the recent demand increase may result in a tight supply of films.WitsView notes that TVs and LCD monitors also use COF packaging, but the profits are lower than COF packaging for smartphones. Since the number of smartphones using COF packaging is highly likely to double in 2019, the supply of film for TVs and LCD monitors may be squeezed. The global shipments of panel would also be influenced as films in COF packaging for large-size panels may see undersupply in 1H19.
Key word:
Release time:2019-01-08 00:00 reading:1295 Continue reading>>
Shipments of Large-Size Panels to Grow by 1% YoY in <span style='color:red'>2019</span> Driven by Specs Improvement
According to the latest report by WitsView, a division of TrendForce, shipments of large-size panels reached 804.06 million pieces in 2018, a YoY growth of 2.5%. Among all the large-size display panel applications, only tablet panel recorded decreasing shipments last year, while other categories registered noticeable growth. The segment of TV panel was driven by new production capacity in the industry and special deal projects, while the LCD monitor segment grew due to the increased production volume of borderless monitors and momentum from global sports events. As for notebook panels, notebook manufacturers started their stock-ups earlier than previous years, in fear of the shortage of components like driver ICs. As a result, the notebook panel shipments for 2018 increased as well.“Looking ahead to 2019, shipments of large-size panels are expected to reach 811.77 million pieces, an annual grow of 1%, driven by specs upgrades”, says Iris Hu, the research manager of WitsView. Shipments of notebook panels are expected to grow by 1.6% YoY to reach 190.12 million pieces, as high resolution and narrow-border models will still be popular in the market. The growth of borderless models and the gaming market will bring more replacement demand for peripherals, pushing monitor shipments to a new high of 158.03 million pieces, a YoY growth of 4.4%. In addition, three new fabs will enter the operation this year, with a focus on producing large-size TV panels. Thus, TV panel shipments are expected to reach 285.98 million, an annual growth of 1%. This will mark the third consecutive years for TV panel shipments to grow.As for the specs of TV panels, panel makers have been making UHD a standard feature for large-size TV panel products and narrowing the price gap between and UHD and FHD products in recent years, boosting the penetration rate of UHD models to 39% in 2018. Looking ahead to 2019, two gen 10.5 fabs will focus on producing 65-inch and 75-inch panels, while gen 8.5 fab will shift to production of 55-inch ones in order to consume the capacity. Meanwhile, the production volume of 32-inch panels will be cut. The adjustments in product mixes would drive the penetration rate of UHD models up to 50%. Particularly, most of 55-inch or larger products will feature UHD. For 50-inch models, the penetration rate of UHD models has reached 88% this year after the gen 8.6 fab adopted economic cut. In 2019, the rate will continue to grow and 96% of 50-inch TV panels would feature UHD if the capacity expansion is completed.IT panel makers will continue to focus on reducing border size. Both borderless PC monitor panels and narrow-border notebook panels recorded impressive shipments in 2018. With panel makers’ active capacity expansion for borderless PC monitor panels and promotion of PC brands, the penetration rate of borderless panels reached 31% in 2018, and has a chance to reach 45% this year as the demand continues to increase and capacity to expand.As for notebook panels, the market not only focuses on increasing viewing angle and resolution, but also tries to increase the screen to body ratio inspired by the trends in the smartphone market. Notebook panel makers have been tried to place 14-inch narrow border panels in 13.3-inch case, making the products thinner and lighter, together with a new selling point. This trend drove the shipments of narrow-border notebook panels to increase by 347% in 2018, and the penetration rate to 25%, 19 percentage points higher than in 2017. As the price gap between narrow border models and panels with VESA standard gradually reduces, the penetration rate of the narrow border panels will exceed 40% in 2019.
Key word:
Release time:2019-01-04 00:00 reading:1100 Continue reading>>
DRAM Market to See Lower Capital Expenditure and Moderated Bit Output in <span style='color:red'>2019</span> Due to Weak Demand
After contract prices of DRAM products turned downward sharply in 4Q18 by 10% QoQ, major DRAM manufacturers have tried to offset fall in prices by slowing down capacity expansion in 2019, as the demand outlook for PCs, servers, smartphones, and other end consumer products remains weak, reports DRAMeXchange, a division of TrendForce.DRAMeXchange points out that, manufacturers’ capex plans are normally the most relevant indicators for their actual bit output. In 2019, the total capital expenditure for DRAM production is forecast at about $18 billion, an annual decrease of 10%. This CAPEX is at the most conservative investment level in recent years.Samsung and SK Hynix have been the first two suppliers who announced the plans to cut their 2019 semiconductor capex. Samsung, the market leader, would spend $8 billion on capex in 2019, mainly for migration to advanced process (1Ynm) and development of new products. Samsung’s 2019 plan for wafer starts would be the most conservative in recent years. The company has also decided to terminate the production capacity expansion in its Pyeongtaek fab, which will lower Samsung’s annual output growth to around 20%, a new low over the years.SK Hynix says its 2019 capex will be reduced to $5.5 billion, mainly for migration to advanced process and yield improvement. However, its new fab in Wuxi has just been completed, with potential capacity expansion of 30-40K pieces for the whole year of 2019. According to DRAMeXchange, SK Hynix’s output growth would be 21% YoY in 2019, slightly higher than that of Samsung.As for Micron, who has recently announced to cut its capex to $3 billion, revised its 2019 output growth forecast downward to 15%, from almost 20% previously, in order to prevent its inventory level from increasing further. Micron would not expand its production capacity in its subsidiary Micron Memory Taiwan (formerly Rexchip), Micron Technology Taiwan (formerly Inotera), or its Hiroshima fab of former Elpida Memory, so Micron’s monthly wafer starts would remain 350K in 2019. And the output growth would only come from its migration to 1Ynm production this year. DRAMeXchange believes that Micron is more vulnerable to the drop in prices due to its weaker cost structure than Samsung and SK Hynix. Thus, Micron has to make more production and capex adjustments to cope with the price falls. As the result, Micron's market share would continue to decrease after two years with only 15% growth in bit output.Manufacturers try to avoid price competition and maintain profitability by slowing down capacity expansionIn the oligopolistic market with no new competitors, manufacturers have tried to adjust their production plans and cut down capex to avoid price competition. In terms of profitability, the gross margins of Samsung’s and SK Hynix’s DRAM production remain nearly 80%, while that of Micron remains over 60%. With such high margins, it is reasonable for the manufacturers to be conservative in their production outlook for 2019.On the demand front, the first quarter of 2019 will witness the weakest demand of the year due to holidays and seasonal headwinds. Moreover, there is currently no sign of demand recovery in the second quarter or afterwards. The market is still full of uncertainties due to the looming trade war between China and the U.S. Therefore, for the DRAM price trends this year, DRAMeXchange expects a quarterly decline of 15% in 1Q19, and less than 10% in 2Q19. For 2H19, the prices would continue to fall by 5% each quarter unless the demand is significantly improved.
Key word:
Release time:2019-01-03 00:00 reading:1227 Continue reading>>
<span style='color:red'>2019</span> AV Sensors: Vision, Radar, Lidar, iDAR
Today, there’s no shortage of questions for executives and engineers at tech and auto companies grappling with the technology and business roadmap of automated vehicles (AVs). Three big unanswered questions, however, stand out.Egil Juliussen, director of research for Infotainment and advanced driver-assistance systems (ADAS) for automotive at IHS Markit, laid out the following as the “unanswered questions” that will dog the auto industry in 2019:       Do we really need lidars?       Are tech/auto companies really ready to collaborate in pursuit of “network effect” for advancements of driving software?        Will the industry solve the L2 to L3 handover problems?Industry observers certainly see a new round of AV partnerships percolating among tech companies, tier ones and car OEMs. And several companies are trying out new technologies, such as ADAM, on the L2 to L3 handover quandary.Speaking of that unimaginable dilemma for human drivers when machines suddenly give control back to them, “expect the resurgence of interest in driver monitoring systems among tier ones and OEMs at the 2019 Consumer Electronics Show in Las Vegas next month,” Colin Barnden, Semicast Research lead analyst, told us.But, will ADAS cars and robocars really need lidars? Juliussen told us, “We are beginning to hear this a lot.” The issue follows the emergence of digital imaging radars “that can do a lot more than they used to,” he explained.AEye to fuse camera/lidar dataAgainst this backdrop, a startup called AEye, based in Pleasanton, Calif., announced last week its first commercial product, “iDAR,” a solid-state lidar fused with an HD camera, for the ADAS/AV market.The idea of autonomous vehicles without lidar has been floating around the tech community for almost a year. The proposition is tantalizing because many car OEMs regard lidars as too costly, and they agree that the lidar technology landscape is far from settled.Although nobody is saying that a “lidar-free future” is imminent, many imaging radar technology developers discuss it as one of their potential goals. Lars Reger, NXP Semiconductors’ CTO, for example, told us in November that the company hopes to prove it’s possible.  AEye, however, moves into the is-lidar-necessary debate from another angle. The startup believes that car OEMs are reluctant to use current-generation lidars because their solutions today depend on an array of independent sensors that collectively produce a tremendous amount of data. “This requires lengthy processing time and massive computing power to collect and assemble data sets by aligning, analyzing, correcting, down sampling, and translating them into actionable information that can be used to safely guide the vehicle,” explained AEye.But what if AEye uses artificial intelligence in a way that discriminately collects data information that only matters to an AV’s path planning, instead of assigning every pixel the same priority? This starting point inspired AEye to develop iDAR, Stephen Lambright, AEye’s vice president of marketing, explained to EE Times.Indeed, AEye’s iDAR is “deeply rooted in the technologies originally developed for the defense industry,” according to Lambright. The startup’s CEO, Luis Dussan, previously worked on designing surveillance, reconnaissance, and defense systems for fighter jets. He formed AEye “to deliver military-grade performance in autonomous cars.”Driving AEye’s iDAR development were “three principles that shaped the perception systems on military aircraft Dussan learned,” according to Lambright: 1) never miss anything; 2) understand that objects are not created equal and require different attention; and 3) do everything in real time.In short, the goal of iDAR was to develop a sensor fusion system with “no need to waste computing cycles,” said Aravind Ratnam, AEye’s vice president of products.  Building blocks of iDAR include 1550nm solid-state MEMS lidar, a low-light HD camera and embedded AI. The system is designed to “combine” 2D camera “pixels” (RGB) and 3D lidar’s data “voxels” (XYZ) to provide “a new real-time sensor data type” that delivers more accurate, longer range and more intelligent information faster to AV’s path-planning system, according Rantan.Notably, what AEye’s iDAR offers is not post-scan fusion of a separate camera and lidar system. By developing an intelligent artificial perception system that physically fuses a solid-state lidar with a hi-res camera, AEye explains that its iDAR “creates a new data type called dynamic vixels.” By capturing x, y, z, r, g, b data, AEye says that dynamic Vixels “biomimic” the data structure of the human visual cortex.‘Combiner’ SoCThe new iDAR system called AE110, announced last week, is a fourth-generation prototype. Included in the system, according to Ratnam, is a “combiner” SoC based on Xilinx’s Zynq SoC. Zynq integrates an ARM-based processor with an FPGA. It’s designed to enable key analytics and hardware acceleration while integrating CPU, DSP, ASSP, and mixed signal functionality on one device. In 2019, AEye is planning to design its own ASIC for the combiner SoC, he added.‘Vision + radar’ or ‘vision + lidar’?AEye is promoting its combined vision/lidar sensor system while a few developers of high-precision mmWave radar chips advocate vision/radar solutions.Mike Demler, senior analyst at the Linley Group, called AEye’s camera-lidar fusion “an interesting approach.” Acknowledging that Aeye’s implementation “may have some unique features,” Demler cautioned that AEye “isn’t the only company doing that.” He noted that Continental also sells a camera-lidar combo unit. Presumably, though, Continental is combining data from two separate sensors after pre-processing.As Demler sees it, the advantage of AEye’s approach would be “in the sensor-fusion software.” In essence, “Treating the camera/lidar image sensors as an integrated unit could speed identification of regions-of interest, as they claim,” he noted. “But beyond that, all the strengths/weaknesses of the two sensors still applies.”Demler noted that AEye is using a MEMS lidar, but doesn’t appear to disclose its spatial resolution. That could be a weakness compared to a scanning lidar like Velodyne's, he speculated. “The camera sensor has highest resolution, but it can’t handle extremely bright or dark scenes, and it’s still limited by dirt and precipitation that can block the lens. So you can’t rely on that for your spatial resolution. Likewise, the lidar doesn’t function as well in precipitation as radar, so you can’t rely on that for object detection, and most lidars don’t measure velocity.”Asked about AEye, Phil Magney, VSI Labs founder, disclosed that his firm was hired to validate lidar performance for distance and scan rate.Magney stressed, “The iDAR sensor is unique in that it couples a camera with a lidar and fuses the data before the combined values are ingested by the central computer.” In his opinion, “this is really edge fusion as the device is fusing the raw data with the camera data before any classification occurs.” Magney added, “We also know that the device has the capacity to drill down to a subject of interest meaning that would not need to process an entire point cloud scene.” Magney acknowledged that AEye’s iDAR device has “the potential to better classify because you have the fused camera data to work with.” He noted, “iDAR is developing classification algorithms that apply to the fused data set.”AEye’s so-called dynamic vixels create content that is, in theory, "much richer than either cameras or lidars can produce by themselves,” said Magney. But he cautioned that “basically every pixel has a point and every point has a pixel but keep in mind the resolution of the camera is much higher than the lidar so your ratio of pixels to points is not one to one.”Magney acknowledged, “When comparing iDAR to radar it is possible to eliminate the need for radar, because lidar and radar are both ranging instruments.”He noted, “If you could have enough confidence in the lidar’s ability to give you proper depth perception, and can track the velocity of targets, then this is possible. It should be mentioned, iDAR has twice the scan rate (100 Hz) compared to most commercial lidar products, another advantage of their device.”On the other hand, because more ADAS-featured cars are poised to roll out well before fully autonomous vehicles, radar appears to hold the advantage over lidars (or iDAR) in the ADAS market.“Radar is going to work better in inclement weather and is thus best suited for ADAS, where you need safety systems running even when the conditions do not suite autonomous driving,” noted Magney. “But radar by itself is still limited in terms of what it can classify. This is determined by the firmware in the radar device. We understand that radar is getting better at classification and the companies are purporting some richer capabilities. There are some radar startup that have some pretty impressive claims.”VSI recently validated the test and methodology in a recent performance test of the AEye iDAR sensor. Magney said the firm validated that the lidar signals were able to detect a truck on the road at a distance of 1 kilometer. VSI also confirmed the frequency of the scan rate of 100 Hz, Magney said. “We did not validate that this sensor will lead to better performance or safety, but we did validate that it had enough intelligence to identify an object at 1,000 meters,” he added. Asked about radars vs lidars, Demler summed it up. For high-level autonomous vehicles, no company at this point is claiming lidar isn’t necessary. He said, “Sure, you can build a self-driving car without it, but that doesn’t mean it functions as well under all conditions or is as safe as a camera/lidar/radar system.”In Demler’s opinion, what AEye's iDAR doesn’t replace radar. And TI's mmWave imaging radar doesn’t replace lidar, Demler explained. “Most AV developers are using all three, and in fact they are using other sensors as well," Demler said. "Ultrasonic sensors have their place, as do infrared sensors.” He said: “Safety and redundancy demand backups, and multiple sensor types are required because no one type works best under all conditions.”Who will make iDARs?Last week,AEye also disclosed the second close of its Series B financing, which will take the company’s total funding beyond $60 millionAEye said that included among its Series B investors are automotive OEMs, tier ones and tier twos, as well as strategic investors Hella Ventures, Subaru-SBI Innovation Fund, LG Electronics and SK Hynix.”AEye’s Lambright pointed out the significance of Hella Ventures and LG Electronics joining the Series B round. AEye is counting on tier one partners like these to bring up the volume of iDAR production and lower the unit cost. Lambright estimated the initial cost of iDAR in 2021 will be lower than $1,000 per unit.
Key word:
Release time:2018-12-28 00:00 reading:1094 Continue reading>>
MIC: USA Trade War to Impact Taiwan’s Annual Growth in <span style='color:red'>2019</span>
The Market Intelligence & Consulting Institute (MIC) stated that the trade war between the United States and China has already become a protracted conflict, and amid the influence of factors of uncertainty, the economic inertia will slow down for Taiwan’s major trading partners, including the USA, the EU, Japan, South Korea, and Hong Kong. This will be a drag on the global economy and will impact Taiwan’s annual growth next year.Senior industry consultant Chen Zi-ang pointed out that from the results of international forecasting agency observations, the estimated values for Taiwan’s economic growth next year will be worse than those of 2018. Furthermore, an early response will be required in case Taiwan’s economic growth rate is faced with a gross margin crisis. Regarding China’s and the United States’ 90 days of recess in entering into negotiations, it still remains to be seen whether or not the deal will break down and the US restores 25% tariff measures, which will inevitably affect Taiwan's ICT industry.Institute for Information Industry (III) MRC estimates that the most probable development situation will be a protracted war and that the conflicts will not be solved in the short term. Although not every country will be directly impacted, overall international trade activity may slow down as a result, and there will be additional factors of uncertainty.Institute for Information Industry (III) MRC observed that the most recent situation in the trade war between the United States and China is impacting a portion of Taiwan’s telecommunications industry with one Taiwanese manufacturer after another beginning to adopt countermeasures. Some items of semiconductors, information products, and the netcon industry have also been affected, including logic in semiconductors, analog, and memory wafer semi-finished products and modules. Meanwhile, information products such as motherboards, desktop computers, and computer peripherals have been impacted along with broadband terminals and switch and set-top boxes in the communications industry.Two types of response measures are generally being adopted by the most influential information product related enterprises. The first is to transfer their production locations, and Vietnam, Mexico, the Philippines, and even Taiwan are options which businesses are evaluating. The other response is to adjust pricing, and currently some brands have raised prices by 5% to 10%.
Key word:
Release time:2018-12-25 00:00 reading:998 Continue reading>>
Fab Equipment Challenges For <span style='color:red'>2019</span>
After a period of record growth, the semiconductor equipment industry is facing a slowdown in 2019, in addition to several technical challenges that still need to be resolved.Generally, the equipment industry saw enormous demand in 2017, and the momentum extended into the first part of 2018. But then the memory market began deteriorating in the middle of this year, causing both DRAMand NAND vendors to push out their equipment orders.The memory downturn is expected to extend into 2019, which will impact equipment makers with exposure to DRAM and NAND. Then, on the geopolitical front, the trade issues between the United States and China are a cause for concern, although the long-term impact remains unclear.On the positive side, foundry vendors continue to ramp up their 7nm processes, propelling equipment orders in the logic space. The outlook is good at the other end of the spectrum, as well, where the industry continues to see demand for mature 200mm equipment.Still, the demand for leading-edge and mature tools can’t make up for the downturn in memory, which likely will put the equipment industry in negative territory. In total, the worldwide semiconductor equipment industry is expected to grow by 13.7% in 2018, but the business is projected to slow and decline by 8.6% in 2019, according to VLSI Research.Of course, the forecast could change overnight, and it’s not all gloomy in the market. Tool segments with exposure to logic will fare better in 2019. But amid a downturn in memory, tool segments with exposure to DRAM and NAND are in for a rough ride.For example, some foundries are expected to ramp up extreme ultraviolet (EUV) lithography at 7nm, a move that will likely propel EUV scanner orders for ASML. Inspection and metrology are also bright spots.“If you look at the equipment side, EUV is obviously going to be positive. The other one that you see performing better in downturns is process control. If foundry and logic maintain their spending, they are heavy on process control compared to memory,” said Risto Puhakka, president of VLSI Research. “On the negative side, you will probably see etch and some deposition segments that are heavily dependent on memory. That will probably see a bigger decline.”To help the industry get ahead of the curve in 2019, Semiconductor Engineering has taken a look at several equipment segments, including foundry, memory, China and 200mm.More numbersWhat a difference a year makes. Citing huge demand for memory, the semiconductor market is projected to grow by 15.5% in 2018, according to VLSI Research. But the current memory slowdown is expected to drag down the industry, causing the IC market to decline by 1.6% in 2019, according to the firm.The equipment industry is following a similar pattern. 2018 started out looking like another record year for the equipment industry, but the memory downturn slowed the momentum.“The equipment market will grow about 14% in 2018, which is a little bit below what we were predicting earlier in the year. But still, it’s a good year,” said Andrea Lati, an analyst with VLSI Research. “But we did see a de-acceleration in the second half of 2018, which we expected. If you look how much spending went on in 2017, we knew at some point that supply was going to catch up with demand and surpass it. That happened in the second half of this year.”At that point, the market failed to regain the momentum. “This downward pressure we are seeing in the second half of 2018 is going to roll over into 2019. We’re a little bit more bearish about 2019. We do expect both the semiconductor and the equipment markets to decline in 2019,” Lati said. “Part of it is because there is some over-build in our industry. The second part is really the macro picture. It’s slowing, and we also have the China situation. That is also adding uncertainty.”Compounding the problem is the memory downturn. “We expect memory sales to decline almost 10% in 2019. We do see that logic will probably be in positive territory and growing almost 4%. So it will be primarily a memory-driven downturn in 2019,” Lati said.Needless to say, this will impact the equipment industry. In a different forecast, SEMI predicts that worldwide sales of new semiconductor equipment will increase 9.7% to $62.1 billion in 2018, but the market will decline by 4% in 2019. In 2019, South Korea will remain the largest equipment market, followed by China and Taiwan, according to SEMI.Fig. 1: Worldwide sales of new semiconductor manufacturing equipment. Source: SEMITwo other metrics, semiconductor capital spending and the wafer-fab equipment (WFE) market, are seeing similar trends.“(For) WFE CapEx, calendar 2018 was driven by buoyant demand for memory,” said Toshiki Kawai, president and chief executive of TEL, in a recent presentation. “Expect year-over-year growth of approximately 5%-10%.”In 2019, though, WFE will reach $50.6 billion, down 5% over 2018, according to KeyBanc Capital Markets. Capital spending will reach $87.2 billion in 2019, down 5% over 2018, according to KeyBanc.“For memory, what we are seeing right now is a definite slowdown. I see a CapEx decrease in ’19 for DRAM after an incredible year. In NAND, it will be modestly down in ’19,” said Oreste Donzella, senior vice president and chief marketing officer at KLA-Tencor. “We believe foundry will go up. The question is how much will foundry go up.”From an applications perspective, it’s also a mixed picture. The smartphone market is flat, but there are other applications that will drive IC demand, such as automotive, artificial intelligence and wireless.AI involves a technology called machine learning. In simple terms, machine learning uses a neural network in a system. In neural networks, a system crunches data and identifies patterns. It matches certain patterns and learns which of those attributes are important.The industry is accelerating the use of machine learning in various systems, thereby driving the demand for ASICs, FPGAs, GPUs and memory.“The world of memory is increasing in the era of AI and deep learning,” said Gill Lee, managing director of memory technology at Applied Materials. “Bit growth is happening with all of these new applications, such as AI, deep learning and data centers. The memory applications are becoming more diversified.”Others see similar trends. “Startup funding for semiconductor companies is back up, with similar increases for new programs inside existing companies,” said Aki Fujimura, chief executive of D2S.“Excitement over deep learning, autonomous driving, and continued innovation in IoT are providing new design starts,” Fujimura said. “Despite the recent hiccup from the cooling off in cryptocurrency, I continue to see a strong rising tide behind Nvidia for simulation of natural effects, image and video processing, and deep learning. It is great to see a new wave of funding of innovation in new chips, particularly driven by deep learning. We are going to see deep learning improve what we do in semiconductor manufacturing, as well, in 2019.”Thirumal Thanigaivelan, senior marketing director at Veeco’s UItratech division, said: “Diverse market requirements in AI, graphics and automotive are driving leading-edge development. We expect the investment to continue as we drive toward more processing capability in HBM (high-bandwidth memory). The diverse markets and applications space dampens the fluctuations in fab equipment spending, reducing the cyclicality.”Wafers and masksOne way to get a pulse on the market is to look at the demand picture for two key building blocks in the IC sector—silicon wafers and photomasks.In 2019, silicon wafer shipments will reach 13,090 million square inches, up 5.2% over 2018, according to SEMI. In 2018, silicon wafer shipments grew 7.1%.Then, the photomask market is forecast to exceed $4 billion in 2019, up 4% over 2018, according to SEMI.Photomask makers see demand for both leading- and trailing-edge masks. For example, EUV mask shipments are expected to double, from 1,041 in 2017 to 2,185 in 2018, according to a survey from the eBeam Initiative. That’s a small percentage of overall mask shipments, as 587,233 photomasks will be delivered in 2018, up 27% over 2017, according to the survey.“EUV masks went up 2X, which is expected. That’s a good thing. But the numbers are insignificant compared to the overall masks that are reported. But taken by itself, a 2X increase is a strong indication that the industry is getting ready for EUV,” D2S’ Fujimura said.EUV lithography—a next-generation technology that patterns tiny features on a chip—is moving into high-volume production in 2019 after years of delays. In an EUV scanner, a power source converts plasma into light at 13.5nm wavelengths, enabling the system to print fine features.Chipmakers need EUV because it’s becoming more difficult to pattern the tiny features using today’s 193nm immersion lithography and multiple patterning.Foundry growthMeanwhile, the foundry business, which is a big equipment market, is a mixed bag. Capital spending in the foundry sector will reach $25.1 billion in 2019, up 14% over 2018, according to KeyBanc.But the number of leading-edge foundries is dwindling, meaning there are fewer buyers of equipment at the most advanced nodes.Tool vendors are seeing demand in several foundry segments. At the high end, the demand driver is 7nm, although the product mix is changing at advanced nodes. “20nm, 16nm, 14nm and 10nm were really driven by mobile,” KLA-Tencor’s Donzella said. “At 7nm, we still have a high number of tape-outs driven by mobile. We also see AI applications. Now, the question is how many of these tape-outs are going to be realized in wafer capacity.”Not all of the action is at advanced nodes. “If you look at the percentage of revenue coming from the trailing edge, say 40nm and above, it’s still quite significant. Some 50% of the foundry revenue comes from trailing edge. That isn’t going to change. The reason is because of IoT demand, driving RF and MEMS. Then, you have automotive,” Donzella said.At 22nm and above, the industry continues to develop chips based on traditional planar transistors. In contrast, 16nm/14nm and 10nm/7nm are based on finFETs.It’s becoming more difficult to scale at each node. “PPAC (power, performance, area, cost) scaling at the leading edge is getting more complex and costly,” said Yang Pan, corporate vice president of advanced technology development at Lam Research.The challenges have caused a major shakeup in the leading-edge foundry landscape. Today, there are only two companies shipping 7nm—Samsungand TSMC. In 2018, GlobalFoundries halted its 7nm efforts. The company couldn’t justify the return-on-investment at 7nm, as only a few customers can afford to design chips at advanced nodes. At the same time, Intel is struggling at 10nm and has delayed it several times. (Intel’s 10nm is roughly equivalent to 7nm from the foundries.)Still, Samsung and TSMC are moving ahead at 7nm, but they will face challenges along the way. In 2018, TSMC moved into production at 7nm using traditional optical lithography. Then, TSMC plans to insert EUV for its second version of 7nm, which is slated for early 2019.Samsung recently announced 7nm using EUV. Then, at some point, Intel is expected to insert EUV.Bringing up EUV into production presents some challenges. “The introduction of EUV creates new challenges and opportunities for new patterning films and advanced etch processes such as atomic layer etching. Multiple patterning, complementary to EUV, will continue to drive density scaling,” Lam’s Pan said.There are other challenges at advanced nodes. “The other grand challenge in PPAC scaling is RC (resistive-capacitance) reduction, which requires new materials and integration to reduce line and via resistance to improve circuit power performance,” Pan said.Memory woesMemory, another big market for equipment, is in a difficult period. In early 2018, the NAND market declined and fell into an oversupply mode. Oversupply has extended throughout 2018, while prices have plummeted.The NAND outlook is gloomy. “For NAND flash, 2019 revenues should be down 40% from 2018,” said Jim Handy, an analyst at Objective Analysis. “I expect for NAND to reach cost and hug the cost curve until the current oversupply situation ends in a couple of years.”The DRAM market faces a similar situation after years of growth. “DRAM is just beginning a collapse that NAND has been undergoing since early this year,” Handy said.And if that isn’t enough, there are some technical challenges in memory. For example, planar NAND has reached its physical limit at the 1xnm node. So, NAND vendors have been migrating from planar NAND to 3D NAND. Both types are used for solid-state storage drives (SSDs).Unlike planar NAND, which is a 2D structure, 3D NAND resembles a vertical skyscraper in which horizontal layers are stacked and then connected using tiny vertical channels.Today, vendors are migrating from 48- to 96-layer 3D NAND devices with 128-layer products in R&D. Some refer to layers as pairs.The bit density increases as you add more layers. “In 2018, we’ve seen 96 pairs come into the market. Next year, I expect that we will see the next-generation technology, something larger than 120,” Applied’s Lee said.Continued scaling of 3D NAND will drive down the bit cost, enabling high-density SSDs at lower prices. This in turn expands the market for SSDs. “NAND-based SSDs were originally targeted for more of the high end. Now, it’s a big part of notebook computers. SSDs are also targeting even the low-end of storage. So, the market for NAND is not only going up, but it’s also covering a big part of the hard disk-drive market,” Lee said.Still, it’s difficult to scale 3D NAND from 64 to 96 layers and beyond. In the 3D NAND flow, alternating films are stacked on a substrate using deposition. The process is repeated several times. But as more layers are added, the challenge is to stack the layers uniformly and without defects.In the next step, a plasma etcher etches tiny circular holes or channels from the top of the device stack to the bottom substrate. Each channel must be uniform. Otherwise, CD variations may occur.“Stress management is another area of extreme importance when the layer count increases,” Lam’s Pan said. “High aspect ratio (HAR) etch continues to be the most critical and difficult step in the entire flow. At 96 layers and above, not only does the memory hole module get more challenging, other structures such as slits also become critically difficult with layer stacking.”China and 200mmFor years, China has been a growing market for semiconductor equipment. Trade issues, however, are infusing uncertainty in this arena.In China there are two types of chipmakers—multinational and domestic players. “The domestic semiconductor companies have been spending quite a bit. And the business is up at all major equipment suppliers in 2018,” VLSI Research’s Puhakka said.What about 2019? ‘’I don’t expect overall WFE from China to change significantly between ’18 and ’19,” KLA-Tencor’s Donzella said. “The mix is different. We see more foundry and less memory. We see more foreign investment and less local.”Meanwhile, 200mm is also a key equipment market. Demand for analog, MEMS and RF chips continue to cause shortages for 200mm fab capacity and equipment.“Some of the Asian foundries are afraid of lower orders in the second half of 2018. However, the current utilization of most fabs in Asia is over 90%,” said Bruce Kim, chief executive of SurplusGlobal, a supplier of secondary equipment. “There is still a big demand for 200mm tools.”What about 2019? “It will be very tight. Some of the advanced devices will be moved from 200mm to 300mm. I estimate the 300mm transfer won’t be so large in 2019,” Kim said.Heading into 2019, there is a shortfall of 200mm equipment. The industry requires from 2,000-3,000 new or refurbished 200mm tools to meet fab demand, according to SurplusGlobal. But there are only 500 available 200mm tools on the market, according to the company.200mm tool prices will remain high. “300mm tool prices are lower than 200mm tool prices these days,” Kim said.All told, 2019 looks cloudy for the equipment industry. Foundry looks up, but memory is down. And so, equipment vendors will need to hold on tight. It will likely will be a stormy ride over the next year.
Key word:
Release time:2018-12-25 00:00 reading:1157 Continue reading>>

Turn to

/ 4

  • Week of hot material
  • Material in short supply seckilling
model brand Quote
TL431ACLPR Texas Instruments
BD71847AMWV-E2 ROHM Semiconductor
CDZVT2R20B ROHM Semiconductor
MC33074DR2G onsemi
RB751G-40T2R ROHM Semiconductor
model brand To snap up
ESR03EZPJ151 ROHM Semiconductor
STM32F429IGT6 STMicroelectronics
BU33JA2MNVX-CTL ROHM Semiconductor
BP3621 ROHM Semiconductor
IPZ40N04S5L4R8ATMA1 Infineon Technologies
TPS63050YFFR Texas Instruments
Hot labels
ROHM
IC
Averlogic
Intel
Samsung
IoT
AI
Sensor
Chip
About us

Qr code of ameya360 official account

Identify TWO-DIMENSIONAL code, you can pay attention to

AMEYA360 mall (www.ameya360.com) was launched in 2011. Now there are more than 3,500 high-quality suppliers, including 6 million product model data, and more than 1 million component stocks for purchase. Products cover MCU+ memory + power chip +IGBT+MOS tube + op amp + RF Bluetooth + sensor + resistor capacitance inductor + connector and other fields. main business of platform covers spot sales of electronic components, BOM distribution and product supporting materials, providing one-stop purchasing and sales services for our customers.

Please enter the verification code in the image below:

verification code